Welcome![Sign In][Sign Up]
Location:
Search - vga fpga

Search list

[VHDL-FPGA-VerilogZet-1.3.1

Description: 在单片FPGA上实现九十年代初期PC,可安装Windows3.1及其他DOS系统。SOC中包含以80286(cpu),中断控制器,显示控制器(VGA),声音控制器,PS2(鼠标,键盘)等。是了解计算机历史变迁及学习SOC设计的重要资料!(ZET aims to implement an early 90`s PC on FPGA.Which include a 80286(cpu),interrupt controller,display card(VGA),sound card,PS2 interface .)
Platform: | Size: 2487296 | Author: VectorIII | Hits:

[Game Program五子棋

Description: 五子棋 主要用到了VGA和PS2接口的外设 基本实现了双人对战五子棋的功能。感觉有很多纰漏,想请大家指点下。(five-in-a-row vga ps2 fpga vhdl verilog)
Platform: | Size: 1678336 | Author: 水平线 | Hits:

[CSharpOV7670_TFT

Description: 基于OV7670摄像头的FPGA采集工程,通过VGA显示输出。(OV7670 camera based on FPGA acquisition projects through VGA display output)
Platform: | Size: 1937408 | Author: 大灰机 | Hits:

[Linux-UnixVGA_CLK

Description: 电脑屏幕显示时钟,以及闹钟特效,动态显示图片的特效(Computer screens, clocks, and alarm clocks)
Platform: | Size: 1170432 | Author: 等不到的你 | Hits:

[VHDL-FPGA-VerilogVGA_test3

Description: 利用FPGA实现VGA的驱动,驱动VGA进行工作并通过该功能在显示器上显示一定的内容(my english is poor, so have a look at the chinese)
Platform: | Size: 1643520 | Author: yhhyhh | Hits:

[VHDL-FPGA-Verilogcmos_i2c_ov7670

Description: 完成OV7670摄像头的一个I2C协议配置,从机主机的时序读取编写功能(Complete the OV7670 camera an I2C protocol configuration, slave host timing read write function)
Platform: | Size: 22528 | Author: 子炎恋紫雪 | Hits:

[OtherOV7670_VGA

Description: FPGA实现OV7670摄像头视频读取及VGA显示控制。(Verilog,OV7670 sensor,VGA)
Platform: | Size: 946176 | Author: MoHQ | Hits:

[VHDL-FPGA-Veriloggate2

Description: 二输入门代码,作业学习,数字逻辑电路答案条件好多(the ppt for study very very good)
Platform: | Size: 442368 | Author: 小庆小庆 | Hits:

[VHDL-FPGA-Verilogadv7123

Description: adv7123是常用的视频解码器,常常可用fpga编程控制,使其输出ntsc、pal制式,或者vga显示,这里面全是关于这方面的论文,很值得借鉴参考。(Adv7123 is a commonly used video decoder. It can often be controlled by FPGA programming, so that it can output NTSC, PAL format or VGA display, which is all about the papers in this area, so it is worth learning from for reference.)
Platform: | Size: 17521664 | Author: 松歌 | Hits:

[VHDL-FPGA-Verilogsdram_ov5640_vga

Description: 基于ov5640摄像头的实时视频采集,运用FPGA开发板(Complete the real-time image acquisition and VGA display function, the camera for the ov5640 series)
Platform: | Size: 6820864 | Author: wsweikkk | Hits:

[VHDL-FPGA-Verilog基于basys3的推箱子游戏

Description: 基于FPGA的游戏实例,开发板为Xilinx的basys3,VGA显示(Basys3, VGA Display of Xilinx Development Board Based on Game Example of FPGA)
Platform: | Size: 609280 | Author: Miura | Hits:

[Graph programtext seven

Description: VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。(A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of the experimental chip to be used can be selected as EP2C5T144C8 FPGA of Altera Cyclone II series).)
Platform: | Size: 772096 | Author: 一一11. | Hits:

[VHDL-FPGA-VerilogXilinx_Spartan6 _VGA

Description: Xilinx_Spartan6 FPGA VGA driver
Platform: | Size: 380363 | Author: 570653500@qq.com | Hits:
« 1 2 ... 33 34 35 36 37 38»

CodeBus www.codebus.net